CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL MSK

搜索资源列表

  1. msk调制解调程序

    4下载:
  2. 实现数字载波的MSK调制和解调的程序,记事本格式 可在VHDL中运行仿真
  3. 所属分类:通讯编程

    • 发布日期:2011-04-13
    • 文件大小:4851
    • 提供者:liuliql_100
  1. com1027soft

    0下载:
  2. FSK/MSK/GFSK/GMSK DIGITAL DEMODULATOR VHDL SOURCE CODE OVERVIEW
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-05
    • 文件大小:167020
    • 提供者:nashwa
  1. MSK

    0下载:
  2. 用VERILOG编写的MSK调制模块的程序代码 简单易懂-MSK modulation with a VERILOG module written in easy to understand code
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:2013160
    • 提供者:龙兰飞
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. 73462697msk_matlab

    1下载:
  2. 于毕业设计与论文以及做课题用-MSK Simulink simulation program for the design and graduation thesis topic, and making use -Design and graduation thesis, as well as issues to do with-MSK Simulink simulation program -于毕业设计与论文以及做课题用-MSK Simulink simulation program for
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:2110
    • 提供者:yujiao
  1. 89346497fpga-example2

    1下载:
  2. 于毕业设计与论文以及做课题用-MSK Simulink simulation program for the design and graduation thesis topic, and making use -Design and graduation thesis, as well as issues to do with-MSK Simulink simulation program -于毕业设计与论文以及做课题用-MSK Simulink simulation program for
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:618926
    • 提供者:yujiao
  1. msk

    0下载:
  2. msk调制verilog HDL 实现,对学习微电子的人很有帮助-msk modulation verilog HDL to achieve, people very helpful in learning Microelectronics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:978
    • 提供者:李博
  1. VHDLprogram

    0下载:
  2. 有ASK,MSK,PSK,MASK,MFSK的VHDL程序实现及仿真结果分析。-There ASK, MSK, PSK, MASK, MFSK the VHDL program implementation and simulation results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:270762
    • 提供者:方晓翠
  1. msk_mod

    0下载:
  2. msk 调制解调源码,每符号采样8次。对pn码进行调制后,进行解调,解调过程含:符号差分,中值滤波等过程。-msk modem source code, sample 8 times per symbol. Modulation of the pn code after the demodulation, the demodulation process including: symbol differential, the value of the filtering process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1276
    • 提供者:刘进
搜珍网 www.dssz.com